動(dòng)態(tài)信息

關(guān)注我們,了解更多動(dòng)態(tài)信息

Intel打算免費(fèi)授權(quán)接口規(guī)格成業(yè)界標(biāo)準(zhǔn)

   

英特爾正在對(duì)其先進(jìn)接口總線(Advanced Interface Bus,AIB)規(guī)格進(jìn)行最后潤(rùn)飾,打算將該接口規(guī)格公開(kāi)免費(fèi)授權(quán),好為其專(zhuān)有EMIB封裝技術(shù)催生產(chǎn)業(yè)生態(tài)系......電子設(shè)計(jì)模塊

 英特爾(Intel)準(zhǔn)備要在幾周后公布一種雖然“小”但是具策略性的專(zhuān)有芯片封裝接口規(guī)格,該技術(shù)有可能會(huì)成為未來(lái)的標(biāo)準(zhǔn),實(shí)現(xiàn)像是迭迷你樂(lè)高積木(Lego)那樣結(jié)合小芯片(chiplet)的系統(tǒng)單芯片(SoC)設(shè)計(jì)方法。

目前英特爾正在對(duì)其先進(jìn)接口總線(Advanced Interface Bus,AIB)規(guī)格進(jìn)行最后潤(rùn)飾,AIB是該公司開(kāi)發(fā)的高密度、低成本嵌入式多芯片互連橋接技術(shù)(embedded multi-die interconnect bridge,EMIB)中,裸晶(die)對(duì)裸晶鏈接的物理層功能區(qū)塊。

英特爾已經(jīng)將AIB規(guī)格授權(quán)給一項(xiàng)美國(guó)官方研究項(xiàng)目的少數(shù)合作伙伴,并打算將該規(guī)格透過(guò)一個(gè)產(chǎn)業(yè)聯(lián)盟免費(fèi)授權(quán)給任何有興趣的公司。若該公司能說(shuō)服某個(gè)現(xiàn)有產(chǎn)業(yè)聯(lián)盟來(lái)提供AIB,該規(guī)格可望在幾周內(nèi)公布;而如果得建立一個(gè)新的聯(lián)盟,可能就得花費(fèi)長(zhǎng)達(dá)半年時(shí)間。

在傳統(tǒng)半導(dǎo)體工藝微縮技術(shù)變得越來(lái)越復(fù)雜且昂貴的此刻,像是EMIB這樣能實(shí)現(xiàn)高性能芯片(組)的低成本、高密度封裝技術(shù)日益重要。臺(tái)積電(TSMC)所開(kāi)發(fā)的整合型扇出技術(shù)(InFO)也是其中一種方案,已被應(yīng)用于蘋(píng)果(Apple) iPhone的A系列處理器。

英特爾一直將EMIB幕后技術(shù)列為“秘方”,包括所采用的設(shè)備以及在芯片之間打造簡(jiǎn)化橋接的方法;不過(guò)該公司打算將AIB變成一種任何封裝技術(shù)都能使用、連接“小芯片”的標(biāo)準(zhǔn)接口,以催生一個(gè)能支持自家產(chǎn)品的零件生態(tài)系統(tǒng)。

還有不少人支持英特爾的愿景,例如在美國(guó)國(guó)防部高等研究計(jì)劃署(DARPA)負(fù)責(zé)“CHIPS”項(xiàng)目的經(jīng)理Andreas Olofsson就表示:“為小芯片打造以太網(wǎng)絡(luò)是CHIPS項(xiàng)目最重要的目標(biāo),”而英特爾也參與了該項(xiàng)目。

據(jù)說(shuō)美光(Micron)也是該研究項(xiàng)目的伙伴之一,開(kāi)發(fā)了兩種輕量化AIB接口通訊協(xié)議──其一是溝通性質(zhì)(transactional),另一種是用以串流資料;那些通訊協(xié)議以及AIB可能最后都會(huì)透過(guò)同一個(gè)產(chǎn)業(yè)聯(lián)盟釋出。

除了英特爾的EMIB,也有其他廠商準(zhǔn)備推出類(lèi)似的解決方案。例如由Marvell創(chuàng)辦人暨前首席執(zhí)行官Sehat Sutardja發(fā)起的Mochi專(zhuān)案;還有新創(chuàng)公司zGlue去年鎖定物聯(lián)網(wǎng)SoC發(fā)表的類(lèi)似技術(shù)。晶圓代工業(yè)者Globalfoundries也表示正與封裝業(yè)者合作開(kāi)發(fā)其他技術(shù)選項(xiàng)。

EMIB技術(shù)仍只有英特爾自家用?

英特爾的EMIB與其他類(lèi)似技術(shù)是否能獲得市場(chǎng)歡迎,仍有待觀察;而這些方案都是著眼于降低SoC設(shè)計(jì)的成本與復(fù)雜性。
EMIB2014.jpg
英特爾于2014年首度發(fā)表EMIB,表示該技術(shù)是2.5D封裝的低成本替代方案
(來(lái)源:Intel)

英特爾表示,EMIB能提供達(dá)到每平方毫米(mm2)達(dá)500個(gè)I/O的密度,等同于臺(tái)積電的2.5D CoWas封裝,但成本更低;CoWos是透過(guò)大型且相對(duì)較昂貴、位于下方的硅中介層來(lái)連結(jié)裸晶,而EMIB是直接在芯片之間聯(lián)機(jī),不需要透過(guò)更大的中介層。

臺(tái)積電的InFo方法則是以較低成本的有機(jī)封裝(organic package)來(lái)鏈接芯片,但在密度上不如EMIB;EMIB目前可支持到小至2微米(micron)的對(duì)齊間距,臺(tái)積電也期望讓InFO支持到相同的密度水平。

技術(shù)顧問(wèn)機(jī)構(gòu)TechSearch International總裁、封裝技術(shù)分析師E. Jan Vardaman表示,CoWoS是目前能提供最精細(xì)尺寸的技術(shù);而Globalfoundries、三星(Samsung)與聯(lián)電(UMC)則是提供類(lèi)似的2.5D封裝技術(shù)。

Vardaman將臺(tái)積電的InFO與日月光(ASE)的FOCoS、還有Amkor的SWIFT技術(shù)歸為同一類(lèi),表示這一類(lèi)技術(shù)的密度較低,采用放置于層壓基板之載體上的線路重分布層(redistribution layer);她補(bǔ)充指出,三星也準(zhǔn)備推出差不多類(lèi)似的解決方案。

英特爾是在2014年首度發(fā)表EMIB技術(shù),做為其晶圓代工業(yè)務(wù)提供的技術(shù)之一,但該技術(shù)到目前為止市場(chǎng)接受度并不高,只被用在英特爾自家芯片上,鏈接FPGA與外部SerDes、內(nèi)存還有Xeon處理器。

此外英特爾發(fā)表了某個(gè)版本的Kaby Lake系列x86處理器,因?yàn)榇钆湟訣MIB鏈接的AMD繪圖芯片與HBM 2內(nèi)存,讓市場(chǎng)觀察家大感驚訝并贏得贊譽(yù);本月稍早,英特爾在宣布收購(gòu)eASIC時(shí)也表示將研議把EMIB運(yùn)用于后者產(chǎn)品。

編譯:Judith Cheng

產(chǎn)品目錄
MULTICOMP PRO
Kyet 科雅薄膜電容器
喬光電子(FTR)
采樣電阻
KINGSTATE(志豐電子)
君耀電子(Brightking)
RUBYCON電容原裝現(xiàn)貨供應(yīng)商
HAMAMATSU 濱松光電產(chǎn)品
傳感器
飛思卡爾開(kāi)發(fā)工具 Freescale
嵌入式解決方案
自動(dòng)化工業(yè)系統(tǒng)
網(wǎng)絡(luò)攝像機(jī)
行車(chē)記錄儀
地址(中國(guó)):杭州市拱墅區(qū)莫干山路972號(hào)北部軟件園泰嘉園B座303室
QQ:1261061025
郵箱:master@wfyear.com
電話:800-886-8870